Measurement and Inspection Applications in Packaging

by Dr. George T. Ayoub, President & CEO, MVP Inc.

High speed AOI inspection solutions have been well received for over than 2 decades by several industry sectors such as traditional SMT, automotive, and PCB manufacturing. The innovative solutions, built in quality, reliability, low maintenance, and state of the art high speed inspection capabilities have attracted several industry leaders and High Volume assembly powerhouses around the world.

Last year MVP introduced yet another innovative line of products which has revolutionized the AOI technology in several electronics industry sectors. Targeted towards packaging applications, the new platform was designed to meet and exceed today’s complex High Volume Manufacturing assembly requirements. The configurable nature of the platform makes it a perfect high speed AOI choice for many applications in complex and hybrid C4 + SMT assembly lines.

♦A New Inspection Platform for Packaging Applications

Market demand for new electronics products has been on a fast track with growing desire for slick features, mobility, and increased integrated functionality. Aggressive design requirements mandate smaller form factors forcing shrink in all three dimensions. Integrated functionality, on the other hand, forces higher mix of SMT components with smaller features and lower profile. This trend provides a significant challenge for product integration specifically in the area of packaging assembly.

Real time inspection with right ‘’hooks’’ in place to provide meaningful feedback and easy to digest output information can now enabled complex assembly lines to become more efficient in managing upstream-downstream process in terms of line yield, utilization, overall productivity, and profitability. The platform was designed with all of the above considerations in mind to meet today and tomorrow’s AOI needs.

A configurable platform, dependant on the application, uses different electro-optic and-or Material Handling Systems can be combined to meet various processing requirements. However, the base platform is the same for all applications. The similarity between different configurations improves overall utilization of complex assembly lines. Once basic training has been provided, equipment operators can switch positions from one process to another with minimal training since the same operating system and interface is shared across all configurations. Other key advantages are spares management and equipment maintenance. A very large portion of the same components is shared among all configurations which ultimately helps with spares management by reducing the number of spare parts on the shelves, enhances troubleshooting, and periodic maintenance.

All configurations are equipped with a solid granite stage to enhance overall inspection precision. A high precision frame to complement the granite stage, a single 4 mega pixel color camera, and programmable LED lighting enables repeatable high speed on-the-fly image acquisition with field of view resolution of 3-25um/pixel. Telecentric lens is an option to further increase inspection accuracy required for certain applications.

Careful attention has been given to the Material Handling System to assure ultimate flexibility and meet JEDEC tray standards, metal carriers, bare PCB as well as thin strip processing. All of the platforms can be configured as single or dual lane. Support pedestals and auto board clamping are also optional for more precise board registration and handling. Upstream-downstream communications have been taken into account with flexible PLC control, SMEMA interface, and full automation capability to transfer tool performance and recipe specific data to line management servers.

The platform comes with a powerful integrated SPC package. A large stream of valuable inspection results is gathered continuously and can be plotted real time in different formats to assist troubleshooting and maintain a high yielding assembly line. Offline programming and debug is available to minimize production interruption. A CAD driven library based programming Software cuts new recipe creation and testing time.

With the ever growing evolution of packaging technology, specifically in the organic packaging, and thin package processing the need for AOI continues to increase. The MVP 850G platform was specifically designed to address all new and next generation packaging assembly needs. The tool can be configured to perform 3D Paste Inspection, 2D Flux Inspection(without florescent additives), C4 Die and SMT component Inspection(pre and post reflow simultaneously), C4 Epoxy Underfill (spread, quality, fillet, etc), Surface Finish(scratch, damage, etc), Wire bond, Glue and Sealant, traditional SMT (pre and post reflow), and many more applications. The tool can be placed in-line or off-line depending on assembly layout and process needs.

♦Die Placement Metrology System

MVP were given a challenge by one of their major customers to provide a solution for the accurate measurement and inspection of dies placed onto a substrate. As the placement of these dies are critical to the reliability of the products MVP engineering and management embarked on a project to develop a measurement and inspection tool.

The tool required to be a robust metrology based system to be capable of a repeatability for translation in X and Y of 1.3 microns, reproducibility in X and Y of less than 2 microns, die rotation repeatability and reproducibility not to exceed 0.007 degrees and overall accuracy between different tools not to exceed 10 microns in total.

With years of experience of a variety of different inspection approaches across many industry sectors a taskforce set about specifying a new inspection tool which would meet the requirements of the customer. Not only would the tool have to be capable of inspecting the die placement on the substrate both post and pre-reflow, it would also have to be capable of the inspection of surface finish including scratches and irregularities on the surface of the die.

Other capabilities would include the inspection of surface mount components such as 0204, 0201, 0603 IDC, 0402, resistor networks and even 12 mil pitch QFPs.

In order to meet the variety of inspection requirements a proprietary electro-optics module was developed. Resolution studies showed that a 16um pixel size is adequate for meeting the various requirements of speed and accuracy. The electro-optics module utilizes a telecentric lens, and a tri-color lighting source complemented by a white light source. This assured visibility to the surface mount defects as well as an improved signal to noise ratio for the edges.
The platform software is equipped with a range of existing inspection algorithms including sub-pixel edge detection, surface defect detection, post and pre-reflow SMT and metrology algorithms.

Other significant challenges were to meet the number of units per hour to be inspected. In the range of 3000-4000 UPH required the utilization of concurrent fiducial registration and inspection which allowed for significant time savings during the inspection cycle.

The system required to be provided with a dual lane capability, this effectively working in tandem with existing production equipment to maintain the UPH of the line. Additional challenges were the requirements to integrate SECS/GEM and Lot Code Traceability to the inspection tool.

Another critical parameter was the uptime of the systems which had a base specification of greater than 98.5% production availability. Again the platform surpassed this requirement providing greater than 99% uptime.

In the following charts it can be seen how the platform not only met the customer specification but significantly exceeded the specification.

♦Repeatability and Reproducibility Sigmas

♦Matching Test with Benchmark System

The following chart shows results from one of the metrology based systems. Sixteen dies with various offset (DX) were measured by a benchmark systems and the die placement metrology system. Note that the slope is 1.032 from the linear regression fit and R-square is 0.99. Bias is 1.4147 microns.

 

Not only were MVP successful in the implementation of the first tool to the customer, they have since provided over 50 similar systems to the same customer which all have passed rigorous test routines prior to acceptance.
With the transition from traditional SMT inspection into micro-electronic inspection capabilities MVP have proven their strength lies with high performance, flexible and innovative inspection solutions.